Modern scientific and practical approaches to the production of substrates from semiconductor compounds А3В5. Review

  • Elena N. Abramova АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation https://orcid.org/0009-0002-7724-804X
  • Roman Yu. Kozlov АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation
  • Anatoliy I. Khokhlov АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation
  • Yuriy V. Syrov АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation https://orcid.org/0000-0003-2226-5790
  • Yuriy N. Parkhomenko National University of Science and Technology MISIS 4-1 Leninskiy prospekt, Moscow 119049, Russian Federation https://orcid.org/0000-0002-1970-9867
Keywords: Semiconductor plates, А3В5 materials, Machining, Polishing, Chemical mechanical polishing, Surface passivation

Abstract

Modern electronic and optical engineering uses А3В5 single-crystal semiconductor materials (GaAs, GaSb, InAs, InSb, and InP) as substrates for epitaxial growth. These materials are obtained in the form of massive single-crystal ingots. Therefore, technologies for processing of these A3B5 wafers are developed to produce the substrates for epitaxial growth. The miniaturization of modern systems and devices demands the high quality of the substrates surface. One of the main criteria is a low surface roughness (Ra) (of about 0.5 nm). To meet this requirement, it is necessary to elaborate the existing methods of surface treatment.

The review analyses the current approaches to the treatment of the surface of semiconductor wafers of А3В5 single-crystal materials. It considers the specifics of wafers machining followed by their polishing. The article also presents an analysis of the polishing methods. It reveals that at the moment the chemical-mechanical polishing of А3В5 wafers is the most commonly used method. The review presents the main parameters of this process and systematizes the existing theoretical approaches. The analysis determined the key tendencies in the development of chemical-mechanical polishing of semiconductor А3В5 wafers aimed at increasing the quality of wafers. The article also analyses the latest studies regarding the methods of chemical polishing as an alternative to chemical-mechanical polishing. The next section focuses on surface
passivation methods used upon obtaining wafers with a low roughness. Passivation is performed to reduce the reactivity of the surface and stabilize surface states of wafers.

A classification of passivation methods is suggested based on the obtained chemical composition of the surface, when the passivation layers are created using oxidation, sulfidizing, or nitriding. Another classification is based on the method of creating passivating coatings and includes wet chemical methods and physico-chemical methods

Downloads

Download data is not yet available.

Author Biographies

Elena N. Abramova, АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation

Cand. Sci. (Chem.), Research Fellow, AO «Giredmet», PAO «Rosatom» (Moscow, Russian Federation)

Roman Yu. Kozlov, АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation

4th year PhD student NUST
MISIS, Head of the Laborarory AO «Giredmet», PAO
«Rosatom» (Moscow, Russian Federation)

Anatoliy I. Khokhlov, АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation

Cand. Sci. (Tech.), Research
Fellow, AO «Giredmet», PAO «Rosatom» (Moscow,
Russian Federation)

Yuriy V. Syrov, АО «Giredmet» PAO «Rosatom», 2-1 Electrodnaya st., Moscow 111524, Russian Federation

Cand. Sci. (Phis.-Math.), Research
Fellow, AO «Giredmet», PAO «Rosatom» (Moscow,
Russian Federation)

Yuriy N. Parkhomenko, National University of Science and Technology MISIS 4-1 Leninskiy prospekt, Moscow 119049, Russian Federation

Dr. Sci. (Phys.–Math.),
Professor, Department of Materials Science of
Semiconductors and Dielectrics, NUST MISIS (Moscow,
Russian Federation)

References

Voronenkov V. V., Bochkareva N. I., Virko M. V., … Shreter Yu. G. Gallium nitride substrates state of the art, problems and possibilities. Nanoindustriya. 2017;S(74): 478–483. Available at: https://www.elibrary.ru/item.asp?id=29871698

Kormilitsina S. S., Molodtsova E. V., Knyzev S. N., Kozlov R. Yu., Zavrazhin D. A., Zharikova E. V., Syrov Yu. V. Study of the influence of treatment on the strength of undoped indium antimonide single-crystal plates. Izvestiya Vysshikh Uchebnykh Zavedenii. Materialy Elektronnoi Tekhniki = Materials of Electronics Engineering. 2021;24(1): 48–56. (In Russ.). https://doi.org/10.17073/1609-3577-2021-1-48-56

Allwood D. A., Cox S., Mason N. J., Palmer R., Young R., Walke P. J. Monitoring epiready semiconductor wafers. Thin Solid Films. 2002;412(1-2): 76–83. https://doi.org/10.1016/S0040-6090(02)00316-4

Kiselev M. G., Drozdov A. V., YAmnaya D. A. Technology of mechanical sawing of fragile non-metallic materials with forced vibrations of the workpiece*. Minsk: BNTU Publ.; 2017. Available at: https://rep.bntu.by/bitstream/handle/data/37305/Tekhnologiya_mekhanicheskogo_raspilivaniya_hruphih_nemetallicheskih_materialov.pdf?sequence=5&isAllowed=y&ysclid=lhkrlgps56194871673

Cetyrkina S. A., Zujkov I. F., Chumakova I. V., Chumakova A. V. Technology for cutting monocrystalline silicon rods. Current problems of aviation and astronautics*. 2010;1(6): 25–26. (In Russ.). Available at: https://elibrary.ru item.asp?ysclid=lhkt9qaobe438551147&id=22634031

Pei Z., Billingsley S., Miura S. Grinding induced subsurface cracks in silicon wafers. International Journal of Machine Tools and Manufacture. 1999;39(7): 1103–1116. https://doi.org/10.1016/S0890-6955(98)00079-0

Meng Q., Zhang X., Lu Y., Si J. Calculation and verification of thermal stress in InSb focal plane arrays detector. Optical and Quantum Electronics. 2017;49(402) https://doi.org/10.1007/s11082-017-1243-9

Zhang X., Meng Q., Zhang L., Lv Y. Modeling and deformation analyzing of InSb focal plane arrays detector under thermal shock. Infrared Physics & Technology. 2014;63: 28–34. https://doi.org/10.1016/j.infrared.2013.12.004

Ponomarev V. B., Loshkarev A. B. Equipment for electronic materials plants. Methodology guideline. Lecture course*. Ekaterinburg: GOU-VPO UGTU-UPI Publ.; 2008. 87 p. (In Russ.). Availabke at: file:///C:/Users/Lab351/Downloads/Ponomarev_Loshkarev.pdf

Technology of integral electronics*. Ed.: A. P. Dostanko, L. I. Gurskogo. Minsk: Integralpoligraf Publ.; 2009. 571 p. (In Russ.)

Lapping and finishing machine elements*. Eds.: S. G. Babaeva, P. G. Sadygova. M.: Mashinostroenie Publ.; 1976. 128 s. (In Russ.)

Marinescu I. D., Rowe W. B., Dimitrov B., Ohmori H. Loose abrasive processes. In book: Tribology of Abrasive Machining Processes. Second Edition. Oxford: William Andrew Publishing; 2013. 399–421. https://doi.org/10.1016/b978-1-4377-3467-6.00013-6

Teplova T. B. Quasi-plastic removal of the surface layer of hard brittle materials to obtain a nanometer surface relief*. Scientific Newsletter. 2010;8: 73–88. (In Russ.) Available at: https://www.elibrary.ru/item.asp?id=15278524

Gorokhov V., Zakharevich E., Shavva M. Cutting in the quasiplastic mode. Technology equipment and technologies. Photonics Russia. 2015;49(1): 36–43. (In Russ., abstract in Eng.). Available at: https://www.elibrary.ru/item.asp?id=23107041

Doi T., Marinescu I. D., Kurokawa S. The current situation in ultra-precision technology – silicon single crystals as an example. In book: Advances in CMP Polishing Technologies. USA: William Andrew Publishing; 2012. 15–111p. https://doi.org/10.1016/b978-1-4377-7859-5.00003-x

Deaconescu A., Deaconescu T. Experimental and statistical parametric optimisation of surface roughness and machining productivity by lapping. Transactions of famena XXXIX-4. 2015;39: 65–78. Available at: https://hrcak.srce.hr/152134

Mirofyanchenko E. V., Mirofyanchenko A. E., Popov V. S. The influence of back thinning technique of the InSb (100) FPA on its geometric characteristics and crystal structure. Applied Physics (Prikladnaya Fizika). 2020;2: 46-52. (In Russ., abstract in Eng.). Available at: https://w w w.elibrar y.ru/item.asp?edn=rmmbya

Prakash S. J., Tyagi R., Gupta A. Backside thinning of GaAs wafer by lapping using DOE approach. In: India International Conference on Power Electronics: Materials of international conference IICPE2010, 2010, India: New Delhi; 2011. p. 1–4. https://doi.org/10.1109/IICPE.2011.5728072

URL: https://www.fujimico.com/catalog/Lapping/5

Vizer L. N. Technology of elements and structures of microelectronics. Stavropol: North- Caucasus Federal University Publ.; 2017.

Lee H., Wang H., Park J., Jeong H. Experimental investigation of process parameters for roll-type linear chemical mechanical polishing (Roll-CMP) system. Precision Engineering. 2014;38: 928–934. https://doi.org/10.1016/j.precisioneng.2014.06.003

Brightup S. J., Goorsky M. S. Chemicalmechanical polishing for III-V wafer bonding applications: polishing, roughness, and an abrasivefree polishing model. ECS Transactions. 2010;33(4): 383–389. https://doi.org/10.1149/1.3483528

Deng Q., Kong T., Li G., Yuan J. Study on polishing technology of GaAs wafer. Advanced Materials Research. 2012;497: 200–204. https://doi.org/10.4028/www.scientific.net/AMR.497.200

Yi D., Li J., Cao J. Study on fundamental polishing characteristics in chemical mechanical polishing of gallium arsenide (GaAs) wafer. Bulgarian Chemical Communications. 2017;49(Special Issue-K1): 113–117. Режим доступа: http://bcc.bas.bg/BCC_Volumes/Volume_49_Special_K_2017/BCC-49-SI-K1-2017.pdf#page=111

祐二 森澤, 直紀 高山, NIPPON EKUSHIIDO KK. Polishing liquid for compound semiconductor and method for polishing compound semiconductor using the same. Patent No. JP2585963B2, No. JP5341276A; Application 10.12.1993; Publ. 26.02.1997.

Lyu B. H., Dai W. T., Weng H. Z., Li M., Deng Q. F., Yuan J. L. Influence of components on the rheological property of shear thickening polishing slurry. Advanced Materials Research. 2016;1136: 461–465. https://doi.org/10.4028/www.scientific.net/amr.1136.461

Lortz W., Menzel F., Brandes R., Klaessig F., Knothe T., Shibasaki T. News from the M in CMP– Viscosity of CMP slurries, a constant? MRS Proceedings. 2003;767(17): 767. https://doi.org/10.1557/PROC-767-F1.7

Peddeti Sh., Ong P., L. Leunissen H. A., Babu S. V. Chemical mechanical polishing of Ge using colloidal silica particles and H2O2. Electrochemical and Solid-State Letters. 2011;14(7): 254–257. https://doi.org/10.1149/1.3575166

Zhao D., Lu X. Chemical mechanical polishing: Theory and experiment. Friction. 2013;1(12): 306–326. https://doi.org/10.1007/s40544-013-0035-x

Gao J., Zhou H., Du J., … Qian L. Effect of counter-surface chemical activity on mechanochemical removal of GaAs surface. Tribology International. 2022;176: 107928. doi.org/10.1016/j.triboint.2022.107928

Cheng J., Huang S., Li Y., Wang T., Xie L., Lu X. RE (La, Nd and Yb) doped CeO2 abrasive particles for chemical mechanical polishing of dielectric materials: Experimental and computational analysis. Applied Surface Science.2020;506: 144668. https://doi.org/10.1016/j.apsusc.2019.144668

Seo J., Gowda A., Khajornrungruang P., Hamada S., Song T., Babu S. Trajectories, diffusion, and interactions of single ceria particles on a glass surface observed by evanescent wave microscopy. Journal of Materials Research. 2020;35: 321–331. https://doi.org/10.1557/jmr.2020.6

Gowda A., Seo J., Ranaweera C. K., Babu S. Cleaning solutions for removal of ~30 nm ceria particles from proline and citric acid containing slurries deposited on silicon dioxide and silicon nitride surfaces. ECS Journal of Solid State Science and Technology. 2020; 9: 044013. https://doi.org/10.1149/2162-8777/ab8ffa

Kiseleva L. V., Lopukhin A. A., Mezin Yu. S., Savostin A. V., Vlasov P. V., Vyatkina O. S. Influence of conditions of the InSb monocrystals chemical processing on a surface composition and structure*. Applied Physics (Prikladnaya Fizika). 2015;5: 84–89. (In Russ., abstract in Eng.). Available at: https://www.elibrary.ru/item.asp?id=24839899

Linehan D.M. Chemical Mechanical Polishing of InSb. Master’s thesis in nanoscience. Lund University: 2021. 39 p. URL: https://lup.lub.lu.se/student-papers/search/publication/9069263

Bhonsle R. K., Teugels L., Ibrahim S. A. U., … Leunissen L. H. A. Inspection, characterization and classification of defects for improved CMP of III-V materials. ECS Journal of Solid State Science and Technology. 2015;4(11): 5073–5077. https://doi.org/10.1149/2.0111511jss

Lee H. Semi-empirical material removal model with modified real contact area for CMP. International Journal of Precision Engineering and Manufacturing. 2019;20: 1325 – 1332. https://doi.org/10.1007/s12541-019-00161-6

Chen C.-C., Li J.-C., Liao W.-C., Ciou Y.-J., Chen C.-C. Dynamic pad surface metrology monitoring by swingarm chromatic confocal system. Applied Sciences. 2021;11(1): 179. https://doi.org/10.3390/app11010179

Lee B. Modeling of chemical mechanical polishing for shallow trench isolation. PhD Dissertation. USA: MIT, 2002. 201 p. Available at: https://core.ac.uk/download/pdf/4397042.pdf

Terayama Y., Khajornrungruang P., Suzuki K., Kusatsu K., Hamada S., Wada Y., Hiyama H. Real time nanoscale leaning phenomenon observation during PVA brush scrubbing by evanescent field. ECS Trans. 2019;92(2): 191–197. https://doi.org/10.1149/09202.0191ecst

Khanna A. J., Jawali P., Redfeld D., … Bajaj R. Methodology for pad conditioning sweep optimization for advanced nodes. Microelectronic Engineering. 2019;216(15): 111101. https://doi.org/10.1016/j.mee.2019.111101

Goldstein R. V., Osipenko M. N. Chemical –mechanical polishing. Part 2. Model of local interactions. Perm State Technical University Mechanics Bulletin. 2011;3: 26–42. (In Russ., abstract in Eng.). Available at: https://w w w.elibrar y.ru/item.asp?id=16898671

Khanna A. J., Gupta S., Kumar P., Chang F.-C., Singh R. K. Quantification of shear induced agglomeration in chemical mechanical polishing slurries under different chemical environments. Microelectronic Engineering. 2019;210: 1–7. https://doi.org/10.1016/j.mee.2019.03.012

Han R., Sampurno Y., Theng S., Sudargho F., Zhuang Y., Philipossian A. Application of the Stribeck+ curve in silicon dioxide chemical mechanical planarization. ECS Journal of Solid State Science and Technology. 2017; 6: 161–164. https://doi.org/10.1149/2.0241704jss

Liao X., Sampurno Y., Zhuang Y., Philipossian A. Effect of slurry application/injection schemes on slurryavailability during chemical mechanical planarization(CMP). Electrochemical and Solid-State Letters. 2012; 15(4): H118–H122. https://doi.org/10.1149/2.009205esl

Lee J.-T., Lee E.-S., Won J.-K., Choi H.-Z. Wafer polishing process with signal analysis and monitoring for optimum condition of machining. Advanced Materials Research. 2010;126-128: 295–304. https://doi.org/10.4028/www.scientific.net/AMR.126-128.295

Pourbaix M. Atlas of electrochemical equilibria in aqueous solutions. USA: National Association of Corrosion Engineers, 2nd edition; 1974. 645 p.

Lo R., Lo S-L. A pilot plant study using ceramic membrane microfiltration, carbon adsorption and reverse osmosis to treat CMP (chemical mechanical polishing) wastewater. Water Supply. 2004;4(1): 111–118. https://doi.org/10.2166/ws.2004.0013

Sioncke S., Brunco D. P., Meuris M., … Heyns M. M. Etch rates of Ge, GaAs and InGaAs in acids, bases and peroxide based mixtures. ECS Transactions. 2008:16(10): 451–460. https://doi.org/10.1149/1.2986802

Frank-Rotsch Ch., Dropka N., Rotsc P. III Arsenide. In Book: Single crystals of Electronic Materials: Growth and Properties. UK: Woodhead Publishing, Elsevier; 2018. pp. 181–240. https://doi.org/10.1016/B978-0-08-102096-8.00006-9

Ong Р., Teugel L. CMP processing of high mobility channel materials: alternatives to Si. In book: Advances in Chemical Mechanical Planarization (CMP), 2nd Edition. UK: Woodhead Publishing, Elsevier; 2022. pp. 125–142. https://doi.org/10.1016/B978-0-12-821791-7.00020-4

Qin K., Moudgil B., Park C. W. A chemical mechanical polishing model incorporating both the chemical and mechanical effects. Thin Solid Films. 004;446(2): 277–286. https://doi.org/10.1016/j.tsf.2003.09.060

Lee H. S., Jeong H. D, Dornfeld D. A. Semiempirical material removal rate distribution model for SiO2 chemical mechanical polishing (CMP) processes. Precision Engineering. 2013;37: 483–490. https://doi.org/10.1016/j.precisioneng.2012.12.006

Seo J. A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization. Journal of Materials Research. 2021;36(1): 235–257. https://doi.org/10.1557/s43578-020-00060-x

Park B., Kim Y., Kim H., Jeong H., Dornfeld D. A. Effect of ceria abrasives on planarization efficiency in STI CMP Process. ECS Transactions. 2009;19(7): 51–59.https://doi.org/10.1149/1.3123774

Amirhanov A. V., Gladkih A. A., Makarchuk V. V., Pshennikov A. G., Shahnov V. A. Polynomial model of chemical-mechanical planarization in production of sub-micrometer VLSIC. Herald of the Bauman Moscow State Technical University. Series Instrument Engineering. 2012;2: 20–36. (In Russ., abstract in Eng.). Available at: https://www.elibrary.ru/item.asp?id=17734975

Goldstein R. V., Osipenko M. N. Chemical – mechanical polishing. Part 1. Main characteristics: review. Perm State Technical University Mechanics Bulletin. Vestnik PGTU. Mekhanika. 2011;3: 26–42. (In Russ., abstract in Eng.). Available at:: https://www.elibrary.ru/item.asp?id=16898670

Runnels S. R. Feature-scale fluid-based erosion modeling for Chemical-Mechanical Polishing. Journal of Electrochemical Society. 1994;141(7): 1900–1904. https://doi.org/10.1149/1.2055024

Luo J., Dornfeld D. A. Material removal mechanism in chemical mechanical polishing: theory and modelling. IEEE Transactions on Semiconductor Manufacturing. 2001;14(2): 112–133. https://doi.org/10.1109/66.920723

Lee H., Lee D., Jeong H. Mechanical aspects of the chemical mechanical polishing process: a review. International Journal of Precision Engineering and Manufacturing. 2016;17(4): 525–536. https://doi.org/10.1007/s12541-016-0066-0

Papis Polakowska E., Leonhardt E., Kaniewski J. Characterization of (100) GaSb passivated surface using next generation 3D digital microscopy. Acta Physica Polonica Series a. 2014;125(4): 1052–1055. https://doi.org/10.12693/APhysPolA.125.1052

Yan B., Liang H., Liu Y., … Huang L .Chemical mechanical polishing of GaSb wafers for significantly improved surface quality. Frontiers in Materials. 2021;8: 773131. https://doi.org/10.3389/fmats.2021.773131

Hayashi S., Joshi M. B., Goorsky M. S. Chemical mechanical polishing of exfoliated III-V layers. ECS Transactions. 2008;16(8): 295–302. https://doi.org/10.1149/1.2982881

Seo D., Na J., Lee S., Lim S. Behavior of GaSb (100) and InSb (100) surfaces in the presence of H2O2 in acidic and basic cleaning solutions. Applied Surface Science. 2017;399: 523–534. https://doi.org/10.1016/j.apsusc.2016.12.114

Matovu J. B., Ong P., Leunissen L. H. A., Krishnan S., Babua S. V. Fundamental investigation of chemical mechanical polishing of GaAs in silica dispersions: material removal and arsenic trihydride formation pathways. ECS Journal of Solid State Science and Technology. 2013;2(11): 432−439. https://doi.org/10.1149/2.008311jss

Peddeti Sh., Ong P., Leunissen L. H. A., Babu S. V. Chemical mechanical polishing of InP. ECS Journal of Solid State Science and Technology. 2012;1(4): 184–189. https://10.1149/2.016204jss

Suryadevara B. Advances in chemical mechanical planarization (CMP). 2nd edition. The UK, Cambridge: Woodhead Publishing; 2021. 648 p.

Lee H., Dornfeld D. A., Jeong H. Mathematical model-basedev aluationmet hodology for environmental burden of chemical mechanical planarization process. International Journal of Precision Engineering and Manufacturing-Green Technology. 2014;1(1): 11–15. https://doi.org/10.1007/s40684-014-0002-7

Andreev V. M., Kudryashov D. A., Mizerov M. N., Pushnyj B. V. Method for semiconductor polish. Patent No RU 245754, H01L21/302, B82B3/00; No 2011106341/28; Appl. 18.02.2011; Publ. 27.07.2012,

bull. No 21. Available at: https://patents.s3.yandex. net/RU2457574C1_20120727.pdf

Joshida M., Ashidzava T., Terasaki H., Kurata Ya., Macudzava D., Tanno K., Ootuki Yu., Cerium oxide composite and wafer polish method. Patent No RU2178599C2, H01L 21/304; No 99109040/28; Appl. 30.09.1997; Publ. 20.01.2002, bull. No 2. Available at: https://pubchem.ncbi.nlm.nih.gov/patent/RU-2178599-C2

Lee H., Jeong H. Analysis of removal mechanism on oxide CMP using mixed abrasive slurry. International Journal of Precision Engineering and Manufacturing. 2015;16(3): 603 – 607. https://doi.org/10.1007/s12541-015-0081-6

Lee H., Lee D., Kim M., Jeong H. Effect of mixing ratio of non-spherical particles in colloidal silica slurry on oxide CMP. International Journal of Precision Engineering and Manufacturing. 2017;18(10): 1333–1338. https://doi.org/10.1007/s12541-017-0158-5

Lee H. S., Jeong H. D. Chemical and mechanical balance in polishing of electronic materials for defectfree surfaces. CIRP Annals. 2009;58(1): 485–490. https://doi.org/10.1016/j.cirp.2009.03.115

Lee H. Tribology research trends in chemical mechanical polishing (CMP) process. Tribology and Lubricants. 2018;34(3): 115–122. https://doi.org/10.9725/KTS.2018.34.3.115

Suzuki N., Hashimoto Y., Yasuda H., Yamaki S., Mochizuki Y. Prediction of polishing pressure distribution in CMP process with airbag type wafer carrier. CIRP annals. 2017;66(1): 329–332. https://doi.org/10.1016/j.cirp.2017.04.088

Park J.-Y., Han J.-H., Kim C. A study on the influence of the cross-sectional shape of the metalinserted retainer ring and the pressure distribution from the multi-zone carrier head to increase the wafer yield. Applied Sciences. 2020;10(23): 8362. https://doi.org/10.3390/app10238362

Martinez B., Flint J. P., Dallas G., … Furlong M. J. Standardizing large format 5” GaSb and InSb substrate production. Proceedings Volume 10177, Infrared Technology and Applications XLIII. 2017; 10177. https://doi.org/10.1117/12.2263961

Pandey Kh., Pandey P. M. Chemically assisted polishing of monocrystalline silicon wafer Si (100) by DDMAF. Procedia Engineering. 2017;184: 178−184. https://doi.org/10.1016/j.proeng.2017.04.083

Kum Ch. W., Sato T., Guo J., Liud K., Butler D. A novel media properties-based material removal rate model for magnetic field-assisted finishing. International journal of mechanical sciences. 2018;141: 189–197. https://doi.org/10.1016/j.ijmecsci.2018.04.006

Zhang J., Wang H., Kumar S., Jin M. Experimental and theoretical study of internal finishing by a novel magnetic driven polishing tool. International Journal of Machine Tools and Manufacture. 2020;153: 103552. https://doi.org/10.1016/j.ijmachtools.2020.103552

Lu W. Z., Zuo D. W., Sun Y. L., Zhao Y. F., Xu F., Chen R. F. Temperature field during CMP GaAs wafer using an AID. Key Engineering Materials. 2009;416: 28−33. https://doi.org/10.4028/www.scientific.net/KEM.416.28

Hong S. H., Isii H., Touge M., Watanabe J. Investigation of chemical mechanical polishing of GaAs wafer by the effect of a photocatalyst. Key Engineering Materials. 2005;291-292: 381−384. https://doi.org/10.4028/www.scientific.net/KEM.291-292.381

Ma G., Li S., Liu X., Yin X., Jia Z., Liu F. Combination of plasma electrolytic processing and mechanical polishing for single-crystal 4H-SiC. Micromachines. 2021;12: 606−618. https://doi.org/10.3390/mi12060606

Ou L., Dong Zh., Kang R., Shi K., Guo D. Photoelectrochemically combined mechanical polishing of n-type gallium nitride wafer by using metal nanoparticles as photocathodes. The International Journal of Advanced Manufacturing Technology. 2019;105: 4483−4489. https://doi.org/10.1007/s00170-018-03279-5

Jo H., Lee D. S., Jeong S. H., Lee H. S., Jeong H. D. Hybrid CMP slurry supply system using ionization and atomization. Applied Sciences. 2021;11: 2217−2233. https://doi.org/10.3390/app11052217

Papis Polakowska E. Surface treatments of GaSb and related materials for the processing of midinfrared semiconductor devices. Electron Technology −Internet Journal. 2006;37/38(4): 1−34. Режим доступа: https://yadda.icm.edu.pl/baztech/element/bwmeta1.element.baztech-article-BWA0-0014-0022

Eminov Sh. O., Jalilova Kh. D., Mamedova E. A. Wet chemical etching of the (111)In and Sb planes of InSb substrates. Inorganic Materials. 2011;47(4): 340–344. https://doi.org/10.1134/S0020168511040091

Aureau D., Chaghi R., Gerard I., Sik H., Fleury J., Etcheberry A. Wet etching of InSb surfaces in aqueous solutions: Controlled oxide formation. Applied Surface Science. 2013;276: 182−189. https://doi.org/10.1016/j.apsusc.2013.03.063

Tomashik Z. F., Kusyak N. V., Tomashik V. N. Chemical etching of InAs, InSb, and GaAs in H2O2–HBr solutions. Inorganic Materials. 2002;38(5): 434−437. https://doi.org/10.1023/A:1015402501421

Han L., Xu H., Sartin M. M., … Tian Zh.-Q. Pulse potential confined electrochemical polishing on gallium arsenide wafer. Journal of The Electrochemical Society. 2021;168: 043507. https://doi.org/10.1149/1945-7111/abf96f

Zhang Y., Chen H., Liu D., Deng H. High efficient polishing of sliced 4H-SiC (0001) by molten KOH etching. Applied Surface Science. 2020;525: 146532. https://doi.org/10.1016/j.apsusc.2020. 146532

Braun A. K., Ptak A. J. Planarization of rough (100) GaAs substrates via growth by hydride vapor phase epitaxy. IEEE 48th Photovoltaic Specialists Conference (PVSC): Conference Record, 2021, Fort Lauderdale, FL, USA; 2021. pp. 1437−1439. https://doi. org/10.1109/PVSC43889.2021.9518828

Lvova T. V., Dunaevskii M. S., Lebedev M. V., Shakhmin A. L., Sedova I. V., Ivanov S. V. Chemical passivation of InSb (100) substrates in aqueous solutions of sodium sulfide. Semiconductors. 2013;47(5): 721–727. https://doi.org/10.1134/s106378261305014x

Kul’chickij N. A., Naumov A. V., Starcev V. V. Photonic is a new driver of gallium arsenide market. Photonics Russia. 2020;14(2): 138–149. https://doi.org/10.22184/1993-7296.FRos.2020.14.2.138.149

Holloway G. W., Haapamaki Ch. M., Kuyanov P., LaPierre R. R., Baugh J. Electrical characterization of chemical and dielectric passivation of InAs nanowires. Semiconductor Science and Technology. 2016;31(11): 114004. https://doi.org/10.1088/0268-1242/31/11/114004

Zou X., Li Ch., Su X., … Yartsev A. Carrier recombination processes in GaAs wafer passivated by wet nitridation. ACS Applied Materials and Interfaces. 2020;12(25): 28360−29367. https://doi.org/10.1021/acsami.0c04892

Tereshchenko O. E., Chikichev S. I., Terekhov A. S. Atomic structure and electronic properties of HCl–isopropanol treated and vacuum annealed GaAs 100 surface. Applied Surface Science. 1999;142: 75–80. https://doi.org/10.1016/S0169-4332(98)00634-5

Haworth L., Lu J., Westwood D. I., MacDonald J. E. Atomic hydrogen cleaning, nitriding and annealing InSb (100). Applied Surface Science. 2000;166: 253–258. https://doi.org/10.1016/S0169-4332(00)00425-6

Mittova I., Sladkopevtsev B., Dontsov A., Syrov Yu., Kovaleva A., Tarasova O. Thermal oxidation of a single-crystal GaAs surface treated in sulfur vapor. Inorganic Materials. 2021;57(7); 663–668. https://doi.org/10.1134/s002016852107013x

Syrov Y. V. Indium antimonide interaction with tellurium vapors*. Physical-chemical processes in condensed matter and interfaces: theses of VII Russian conference, 10-13 November 2015, Voronezh. Voronezh: Izdatel’sko-poligraficheskij centr “Nauchnaya kniga”; 2015. pp. 292–293. (In Russ.)

Hasegawa H., Hartnagel H. L. Anodic oxidation of GaAs in mixed solutions of glycol and water. Journal of The Electrochemical Society. 1976;123(5): 713–723. https://doi.org/10.1149/1.2132915

Jewett S. A., Ivanisevic A. Wet-chemical passivation of InAs: toward surfaces with high stability and low toxicity. Accounts of Chemical Research. 2012;45(9): 1451–1459. https://doi.org/10.1021/ar200282f

Sun M. H., Joyce H. J., Gao Q., Tan H. H., Jagadish C., Ning C. Z. Removal of surface states and recovery of band-edge emission in InAs nanowires through surface passivation. Nano Letters. 2012;12(7): 3378−3384. https://doi.org/10.1021/nl300015w

Solov’ev V. A., Sedova I. V., Lvova T. V., … Ivanov S. V. Effect of sulfur passivation of InSb (0 0 1) substrates on molecular-beam homoepitaxy. Applied Surface Science. 2015;356: 378–382. https://doi.org/10.1016/j.apsusc.2015.07.200

Zhernokletov D. M., Dong H., Brennan B., Kim J., Wallace R. M. Optimization of the ammonium sulfide (NH4)2S passivation process on InSb(111)A. Journal of Vacuum Science & Technology B. 2012;30(4): 04E103. https://doi.org/10.1116/1.4719961

Mirofyanchenko A. E., Mirofianchenko E. V., Lavrentyev N. A., Popov V. S. Anodic passivation of InSb (100) by sodium sulfide solution with additional sulfidation pretreatment. Applied Physics (Prikladnaya Fizika). 2020;3: 33–39. (In Russ., abstract in Eng.). Available at: https://w w w.elibrar y.ru/item.asp?id=43807692

Künstler-Hourriez B., Erné B., Lefévre F., … Etcheberry A. Surface reactivity of InSb studied by cyclic voltammetry coupled to XPS. Journal de Physique IV (Proceedings). 2006;132: 147–151. https://doi.org/10.1051/jp4:2006132029

Gong X. Y., Yamaguchi T., Kan H., … Rinfret R. Sulphur passivation of InAs. Applied Surface Science. 1997;113/114: 388–392. https://doi.org/10.1016/S0169-4332(96)00936-1

Richard O., Blais S., Arès R., Aimez V., Jaouad A. Mechanisms of GaAs surface passivation by a one-step dry process using low-frequency plasma enhanced chemical deposition of silicon nitride. Microelectronic Engineering. 2020;233: 111398. https://doi.org/10.1016/j.mee.2020.111398

Chellu A., Koivusalo E., Raappana M., … Hakkarainen T. Nanotechnology paper GaAs surface passivation for InAs/GaAs quantum dot based nanophotonic devices. Nanotechnology. 2021;32(13): 130001. https://doi.org/10.1088/1361-6528/abd0b4

Mehdi H., Réveret F., Robert-Goumet C… Pelissier B. Investigation of N2 plasma GaAs surface passivation efficiency against air exposure: towards an enhanced diode. Applied Surface Science. 2022;579: 152191. https://doi.org/10.1016/j.apsusc.2021.152191

Syrov Y. V. Interaction of indium antimonide with saturated sulfur vapor. Doklady Chemistry. 2016;471(2): 365–367. https://doi.org/10.1134/S0012500816120077

Dobrovol’skij D. S., Davygora A. P., Syrov Yu. V., Molodcova E. V. Physical-chemical processes in condensed matter and interfaces: theses of VII Russian conference, 10–13 November 2015, Voronezh. Voronezh: Izdatel’sko-poligraficheskij centr “Nauchnaya kniga”; 2015. pp. 192–193. (In Russ.)

龚晓霞, 李德香, 张丽霞, 吴宇, 杨雪, 种苏然, 杨 文运, 太云见, 黄晖. Polishing method of indium antimonide single crystal wafer. Patent CN110788739A, B24B 57/02, C09G 1/0; № CN201911058927.XA; Application 31.10.2019; Publ. 14.02.2020.

Levchenko I., Tomashyk V., Stratiychuk I., Malanych G. Formation of the InAs-, InSb-, GaAs-, and GaSb-polished surface. Applied Nanoscience. 2018;8: 949–953. https://doi.org/10.1007/s13204-018-0788-7

高飞, 李晖, 徐世海,张颖武, 练小正, 张弛, 王磊, 徐永宽, 程红娟. A kind of polishing method for gallium antimonide monocrystalline piece. Patent No CN 10664326B, B24B1/0, B24B; No CN201610615129.2A; Application 01.08.2016; Publ. 03.06.2018. Available at: https://patents.google.com/ patent/CN106064326A/en

李超, 林泉, 郑安生, 龙彪, 马锦伟. Doublesurface polishing method for gallium antimonide wafer. Patent No CN102554750A, H01L 21/304; No CN2010106226200A; Application 29.12.2009; Publ. 11.07.2012.

Kovalishina E. A. Method of finish chemicalmechanical polish InAs. Patent No RU2582904C1, H01L 21/304; No 2014153880/28; Appl. 29.12.2014; Publ. 27.04.2016, bul. No 12. (In Russ.). Available at: https://patents.google.com/patent/RU2582904C1/en

Kiseleva L. V., Boltar’ K. O., Vlasov P. V., … Savostin A. V. Method for chemical-mechanical polish of gallium arsenide wafers. Patent No RU2545295C1, C30B 33/00, H01L 21/304, H01L 21/306, C30B 29/42; No 2014103552/05; Appl. 03.02.2014; Publ. 27.03.2015, bul. No 9. (In Russ.). Available at: https://yandex.ru/patents/doc/RU2545295C1_20150327

Nakayama M., Itami H., Yamazaki T., Itami H. Mechano-chemical polishing method for GaAs wafer. Patent No DE102008004441A1, H01L 21/302, C09G 1/04; No 2007-030735; Application 09.02.2007; Publ. 14.08.2008. Available at: https://patents.google.com/patent/EP1763071A1/en

Matovu J. B., Ong P., Leunissen L. H. A., Krishnan S., Babu S. V. Use of multifunctional carboxylic acids and hydrogen peroxide to improve surface quality and minimize phosphine evolution during chemical mechanical polishing of indium phosphide surfaces. Industrial and Engineering Chemistry Research. 2013;52: 10664−10672. https://doi.org/10.1021/ie400689q

Morisawa Y., Kikuma I., Takayama N., Takeuchi M. Mirror polishing of InP wafer surfaces with NaOCl-citric acid. Applied Surface Science.1996;92: 147–150. https://doi.org/10.1016/0169-4332(95)00219-7

Morisawa Y., Kikuma I., Takayama N., Takeuchi M. Effect of SiO2 powder on mirror polishing of InP wafers. Journal of Electronic Materials. 1996;26(1): 34–36. https://doi.org/10.1007/s11664-997-0130-8

Published
2024-01-31
How to Cite
Abramova, E. N., Kozlov, R. Y., Khokhlov, A. I., Syrov, Y. V., & Parkhomenko, Y. N. (2024). Modern scientific and practical approaches to the production of substrates from semiconductor compounds А3В5. Review. Condensed Matter and Interphases, 26(1), 3-24. https://doi.org/10.17308/kcmf.2024.26/11805
Section
Review